Open Access Journal

ISSN : 2394 - 6849 (Online)

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

Open Access Journal

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

ISSN : 2394-6849 (Online)

Reference :

  1. [1] Berrou C., Glavieux A., Thitimajshima P.: ―Near Shannon Limit Error Correcting Coding And Decoding: Turbo Codes,‖ Proc. Int. Conf. Communications, pp. 1064– 1070, May 1993.

    [2] C. Berrou and A. Glavieux, ―Near Optimum Error Correcting Coding and Decoding: Turbo-codes,‖ IEEE Transactions on Communications, vol. 44, pp. 1261–1271, Oct 1996.

    [3] J. Hagenauer and L. Papke, ―Decoding turbo codes with the soft output Viterbi algorithm (SOVA),‖ in proc. IEEE Int. Symp. Information Theory(ISIT’94), June 1994, pp.164.

    [4] L.R. Bahl, J. Cocke, F.Jelinek and J. Raviv, ― Optimal decoding of linear codes for minimizing symbol error rate,‖ IEEE Transactions on Information Theory, vol. IT-20, no. 2, pp. 284-287, Mar. 1974.

    [5] Y. Tong, T. H. Yeap and J. Y. Chouinard ―VHDL implementation of a Turbo decoder with log-Map based iterative decoding,‖ IEEE Transactions on Instrumentation and Measurements, vol. 53, pp.1268-1278, 2004.

    [6] S.Belfanti, C. Roth, M. Gautschi, C. Benkeser and Q.Huang, ―A 1 Gbps LTE-Advanced Turbo decoder ASIC in 65nm CMOS,‖ Proc. Symp. VLSI Circuits (VLSIC), Kyoto, Japan, June 2013, pp. C.284-C287.

    [7] G.Wang Wang HaoShen, YangSun Joseph R. Cavallaro Aida Vosoughi Yuanbin Guo, ― Parallel Interleaver Design for a high through put HSPA+/LTE multi standard Turbo decoder‖ IEEE Transactions On Circuits And Systems—I: Regular Papers: vol. 61, no. 5, May 2014.

    [8] G. Wang, A. Vosouhi, H.Shen, J.R. Cavallaro and Y.Guo, ―Parallel Interleaver architecture with new scheduling scheme for high throughput configurable turbo decoder,‖ in Proc. ISCAS, May 2013, pp. 1340-1343.

    [9] G. Wang, Y.Sun, J.R. Cavallaro, and Y.Guo, ―Concurrent interleaver architecture for high throughput multi standard parallel turbo decoder,‖ in Proc. IEEE Int. Conf. ASAP, Sep.2011, pp. 113-121.

    [10] LTE; Evolved Universal Terrestrial Radio Access (E-utra); Multiplexing and Channel Coding (3GPP TS 36.212 Version 13.0.0 Release 12 & Release 11).

    [11] J.P. Woodard and L.Hanzo, ―Comparative study of Turbo decoding Techniques: an over view‖ IEEE Transactions on Vehicular Technology, vol. 49, pp. 2208- 2233, 2000.

    [12] Haesik Kim, ―Coding and Modulation Techniques for High Spectral Efficiency Transmission in 5G and Satcom,‖ in Proc. 23rd European Signal Processing Conference (EUSIPCO) , pp. 2746-2750, 2015.

    [13] S. Talakoub, L.Sabeti, B. Shahrrava and M. Ahmadi, ―An improved Max-Log-Map algorithm for turbo decoding and turbo equalization,‖ IEEE Transactions on Instrumentation and Measurements, vol. 56, pp. 1058-1063, 2007.

    [14] T-H. Tsai and C-H. Lin, ―A new memory reduced Architecture design for Log Map algorithm in Turbo Decoding,‖ IEEE 6th CAS Symposium on Emerging Technologies: Mobile and Wireless communications, vol. 2, pp. 607-610, 2004.

    [15] M. Martina and G. Masera, ―State metric compression Techniques for Turbo decoder architectures,‖ IEEE Transactions on Circuits and Systems—I: vol. 58, pp.1119-1128, 2011.

    [16] C. Benkeser, A. Burg, T. Cupaiuolo and Q.Huang, ―Design and Optimization of a HSDPA Turbo decoder ASIC,‖ IEEE Journal of Solid-State Circuits, vol. 44, pp. 98-106, 2009.

    [17] J. Kim and I. Park, ―A unified parallel Radix-4 Turbo decoder for Mobile Wi-MAX and 3GPP-LTE,‖ Proceedings of IEEE Custom Integrated Circuits Conference (CICC), pp. 487-490, 2009.

    [18] C. Studer, C. Benkeser, S. Belfanti and Q. Huang, ―Design and Implementation of a parallel turbo decoder ASIC for 3GPP-LTE,‖ IEEE Journal of Solid state Circuits, vol. 46, pp. 8-17,2011.

    [19] C. Condo, M. Martina, and G. Masera, ―VLSI implementation of multi-mode turbo/LDPC decoder architecture,‖ IEEE Transactions on Circuits And Systems—I: vol. 60, no. 6, pp. 1441–1454, Jun. 2013.

    [20] S. Belfanti, C. Roth, M. Gautschi, C. Benkeser, and Q. Huang, ―A 1 Gbps LTE-advanced turbo-decoder ASIC in 65 nm CMOS,‖ in Proc.Symp. VLSI Circuits (VLSIC), 2013, pp. C284–C285.

    [21] C. Roth, S. Belfanti, C. Benkeser, and Q. Huang, ―Efficient Parallel turbo-decoding for high-throughput wireless systems,‖ IEEE Transactions On Circuits And Systems—I, vol. 61, no. 6, pp. 1824–1835, June 2014.

    [22] G. Wang, H. Shen, Y.Sun,J.R. Cavallaro, A.Vousagi And Y.Guo ―Parallel Interleaver Design For A High Throughput Hspa /Lte Multi-Standard Turbo Decoder‖ IEEE Transactions On Circuits And Systems—I: Regular Papers, vol. 61, NO. 5, May 2014.

    [23] Jing-shuin Lin, Ming-Der shieh, chung-Yen Liu, Der-Wei Yang, ―Efficient highly parallel turbo decoder for 3GPP LTE-Advanced‖ International symposium on VLSI Design, Automation and Test(VLSI-DAT), 2015.

    [24] A. Li, L. Xiang, T. Chen, R. G. Maunder, B. M. Al-Hashimi, and L. Hanzo, ―VLSI Implementation of Fully Parallel LTE Turbo Decoders,‖ IEEE Access, vol. 4, pp. 323–346, Jan 2016.

    [25] An Li, Peter Hailes, Robert G. Maunder, Bashir M. Al Hashini and Lazos Hanzo, ―1.5 Gbits/s FPGA Implementation of a Fully-Parallel Turbo decoder Designed for Mission-Critical Machine –Type Communication Applications ‖ IEEE Access, 2016.

    [26] Rahul Shrestha and Roy P.Paily, ―HighThroughput Turbo Decoder With Parallel Architecture for LTE Wireless Communication Standards‖ IEEE Transactions On Circuits And Systems—I: Regular Papers, pp. 2699-2710.

    [27] R. Robertson, E. Villebrun, P. Hoeher, ―A comparison of optimal and sub-optimal MAP decoding algorithms operating in the log domain,‖ in proceedings of IEEE International conference on Communications (ICC), pp. 1009-1013, 1995.

    [28] S. Papaharalabos, P. Sweeney, B.G. Evans, ―Constant log- MAP decoding algorithm for duo-binary turbo codes,‖ Electronics Letters.42, pp. 709-710, 2006.

    [29] J.F. Cheng, T. Ottosson, ―Linearly approximated log-MAP algorithms for turbo decoding,‖ in Proc. Of the IEEE Vehicular Technology Conference (VTC), pp.2252- 2256, 2000.

    [30] Matthew F. Brejza, Liang Li, Robert G. Maunder, Bashir M. Al-Hashini, Claude Berrou and Lazos Hanzo, ―20 years of turbo coding and energy-aware design guidelines for energy constrained wireless applications,‖ IEEE communication surveys & tutorials, vol. 18, no.1, first quarter 2016.


Recent Article