Open Access Journal

ISSN : 2394 - 6849 (Online)

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

Open Access Journal

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

ISSN : 2394-6849 (Online)

VHDL Design of Low Power Circuit Employing Clock Gating Technique

Author : Akshat Singh 1

Date of Publication :13th September 2017

Abstract: With continuous increase in number of transistors placed on single chip and continuous decrease in minimum feature size of transistors has led to significant increase in device density and device complexity at cost of power demand, has brought need of power optimization in such chips with most common implementation in VLSI (Very Large Scale Integration) circuits. VLSI circuits are both combinational and sequential circuits in nature. But in case of sequential circuits, clock is major source of power consumption. This paper proposes Clock Gating technique to decrease clock power consumption by cutting off ideal clock cycles. Here, a VHDL based technique is used to insert clock gating circuit and dynamic power is calculated and thereafter, model has been implemented onto ISCAS’89 benchmark circuit compiled by Modalism Alters 13.1 and Xilinx ISE tool for simulating and analyzing power and results obtained revels that dynamic power is reduced for sequential circuits.

Reference :

  1. [1] P.G. Kaushik, S.M. Gulhane, and A.R. Khan, “Dynamic Power Reduction of Digital Circuits by Clock Gating,” International Journal of Advancements in Technology (IJAT), vol. 4, no.1, pp. 79-88, March 2013.

    [2] M. Shelke, and B. Humane, “Low Power VLSI Design using Clock-Gating Technique,” International Journal of Advanced Research in Computer Engineering & Technology (IJARCET), vol. 4, no.7, pp. 3270-3274, July 2015

    [3] D.K. Sharma, “Effects of Different Clock Gating Techniques on Design”, International Journal of Scientific & Engineering Research (IJSER), vol. 3, no.5, pp. 1-4 May 2012.

    [4] J. Shinde, and S.S. Salankar, “Clock Gating – A Power Optimizing Technique for VLSI Circuits”, India Conference (INDICON), Annual IEEE, December, 2011

    [5] N. R. Prakash, and Akash, “Clock Gating for Dynamic Power Reduction in Synchronous Circuits”, International Journal of Engineering Trends and Technology (IJETT), vol. 4, no. 5, pp.1760-1763, May 2013.

    [6] M. Asyaeia, A. Peiravi, “Low power wide gates for modern power efficient processors”, Integration-the VLSI journal, vol. 47, no.2, pp. 272–283, March 2014.

    [7] M. Alioto, and G. Palumbo,”NAND/NOR Adiabatic Gates: Power Consumption, Evaluation and Comparison Versus the Fan-In”, IEEE transactions on circuits and systems, fundamenta

    [8] S.H. Weng, Y.M. Kuo, and S.C. Chang, “Timing Optimization in Sequential Circuit by Exploiting ClockGating Logic”, ACM Transactions, article. 16, vol. 17, no.2, 15 pages, April 2012.

    [9] Q. Wu, M. Pedram, and X. Wu, “Clock-Gating and Its Application to Low Power Design of Sequential Circuits”, IEEE transactions on circuits and systems, Fundamental theory and applications, vol. 47, no. 103, pp. 415-420, March 2000.

    [10] E.G. Friedman, “Clock distribution design in VLSI circuits-An overview”, Circuits and Systems, ISCAS '93, IEEE International Symposium, May 1993.

    [11] N. Srinivasan et al., “Power Reduction by Clock Gating Technique”, Science Direct, Procedia Technology, Elsevier vol. 21, pp. 631-635, August 2015.

    [12] F. Emnett, M. Biegel, “Power Reduction through RTL Clock Gating”, SNUG San Jose, 2000

    [13] G.K.Yeap, “Practical Low-Power Digital VLSI Design”, Kluwer Publishing, 1998

    [14] J.F. Wakerly, “Digital Design Principles and Practices”, Prentice Hall, 2005.

    [15] H. Kaeslin, “Digital Integrated Circuit Design from VLSI Architectures to CMOS Fabrication”, Cambridge University Press, 2008


Recent Article