Open Access Journal

ISSN : 2394 - 6849 (Online)

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

Open Access Journal

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

ISSN : 2394-6849 (Online)

Timing Optimization In Engineering Change Order Stage For Functional Unit Blocks In Soc Design

Author : Asha Y N 1 Dr.Shilpa D R 2 Arun Seetharaman 3

Date of Publication :24th May 2018

Abstract: Engineering Change Order (ECO) is important in correcting the late-found errors that arise commonly in designing sequential circuits. As the number of corners and number of modes increases, the possibility of setup and hold conflict raises. Hold times violations are critical as they lead to permanent failures in the design. A hold time ECO flow is proposed in the paper to fix negative min path violations. It uses buffer insertion and cell replacing as the main techniques to increase the delay of the path so as to make the margin more positive. The proposed methodology increases the time margin by 74.77% .The total negative slack and worst negative slack got improved by 91.68% and 87.01% respectively. It is found that 63.87% hold violated paths can be fixed using the proposed methodology.

Reference :

    1. Y.-P. Chen, J.-W. Fang, and Y.-W. Chang, “ECO Timing Optimization using Spare Cells,” Proceedings of International Conference on Computer Aided Design, pp. 530–535, Nov. 2007
    2. Y. Kukimoto, R. K. Brayton, and P. Sawkar, “Delay-Optimal Technology Mapping by DAG Covering,” Proceedings of Design Automation Conference, pp. 348– 351, June 1998
    3. C.-P. Lu, M. C.-T. Chao, C.-H. Lo, and C.-W. Chang, “A MetalOnly-ECO Solver for Input-Slew and Output-Loading Violations,”Proceedings of International Symposium on Physical Design, pp. 191–198, Apr. 2009.
    4. P. Chen, J.-W. Fang, and Y.-W. Chang, “ECO Timing Optimization using Spare Cells,” Proceedings of International Conference on Computer Aided Design, pp. 530–535, Nov. 2007
    5. K. H. Ho, J. H. R. Jiang and Y. W. Chang, "TRECO: Dynamic Technology Remapping for Timing Engineering Change Orders," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 11, pp. 1723-1733, Nov. 2012
    6. Y.-M. Kuo, Y.-T. Chang, S.-C. Chang and M. Marek-Sadowska,“Spare Cells with Constant Insertion for Engineering Change,” IEEE Transactions on Computer-Aided Design, vol. 28, no. 3, Mar. 2009
    7. D. Pandini, L. T. Pileggi, and A. J. Strojwas, “Understanding and Addressing the Impact of Wiring Congestion during Technology Mapping,” Proceedings of International Symposium on Physical Design,pp. 131–136, Apr. 2002.
    8. M. S. Golanbari, S. Kiamehr and M. B. Tahoori, "Hold-time violation analysis and fixing in near-threshold region," 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Bremen, 2016, pp. 5

Recent Article