Open Access Journal

ISSN : 2394 - 6849 (Online)

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

Open Access Journal

International Journal of Engineering Research in Electronics and Communication Engineering(IJERECE)

Monthly Journal for Electronics and Communication Engineering

ISSN : 2394-6849 (Online)

A Nonvolatile 7T2M SRAM Cell with Improved Noise Margin for Energy Efficient In Memory Boolean Computations

Author : Anil Kumar Rajput 1 Manisha Pattanaik 2

Date of Publication :31st January 2022

Abstract: The current computing systems are facing von Neumann bottleneck (VNB) in modern times due to the high prominence on big-data applications such as artificial intelligence and neuromorphic computing. In-memory computation is one of the emerging computing paradigms to mitigate this VNB. In this paper, a memristor-based robust 7T2M Nonvolatile-SRAM (NvSRAM) is proposed for energy-efficient In-memory computation. The 7T2M NvSRAM is designed using CMOS and memristor with a higher resistance ratio, which improved the write margin by 74.44% and the energy consumption for read and write operation by 5.10% and 9.66% over conventional 6T SRAM at the cost of increment in write delay. The read decoupled path with the VGND line enhances the read margin and read path Ion/Ioff ratio of 7T2M NvSRAM cell by 2.69× and 102.42%, respectively over conventional 6T SRAM. The proposed cell uses a stacking transistor to reduce the leakage power in standby mode by 64.20% over conventional 6T SRAM. In addition to the normal SRAM function, the proposed 7T2M NvSRAM performs In-Memory Boolean Computation (IMBC) operations such as NAND, AND, NOR, OR, and XOR in a single cycle without compute-disturb (stored data flips during IMC). It achieves 4.29-fJ/bit average energy consumption at 1.8 V for IMBC operations

Reference :

    1. S. Petrenko, A. Asadullin, and A. Petrenko, “Evolution of the von Neumann architecture,” Protect. Inf. Inside, vol. 2, no. 74, pp. 18–28, 2017.
    2.  B. Chen, F. Cai, J. Zhou, W. Ma, P. Sheridan, and W. D. Lu, “Efficient in-memory computing architecture based on crossbar arrays,” in 2015 IEEE International Electron Devices Meeting (IEDM). IEEE, 2015, pp. 17–5.
    3. J. J. Yang, D. B. Strukov, and D. R. Stewart, “Memristive devices for computing,” Nature nanotechnology, vol. 8, no. 1, p. 13, 2013. [4] Z. Wang, S. Joshi, S. Savelev, W. Song, R. Midya, Y. Li, M. Rao, P. Yan,
    4. S. Asapu, Y. Zhuo et al., “Fully memristive neural networks for pattern classification with unsupervised learning,” Nature Electronics, vol. 1, no. 2, p. 137, 2018.
    5. S. Jeloka, N. B. Akesh, D. Sylvester, and D. Blaauw, “A 28 nm configurable memory (TCAM/BCAM/SRAM) using push-rule 6T bit cell enabling logic-in-memory,” IEEE Journal of Solid-State Circuits, vol. 51, no. 4, pp. 1009–1021, 2016.
    6. J. Zhang, Z. Wang, and N. Verma, “In-memory computation of a machine-learning classifier in a standard 6T SRAM array,” IEEE Journal of Solid-State Circuits, vol. 52, no. 4, pp. 915–924, 2017.
    7. N. Surana, M. Lavania, A. Barma, and J. Mekie, “Robust and high- performance 12-T interlocked SRAM for in-memory computing,” in 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2020, pp. 1323– 1326.
    8. Q. Dong, S. Jeloka, M. Saligane, Y. Kim, M. Kawaminami, A. Harada, S. Miyoshi, M. Yasuda, D. Blaauw, and D. Sylvester, “A 4 + 2T SRAM for searching and in-memory computing with 0.3-v vddmin,” IEEE Journal of Solid-State Circuits, vol. 53, no. 4, pp. 1006–1015, April 2018.
    9. A. Agrawal, A. Jaiswal, C. Lee, and K. Roy, “X-SRAM: Enabling in- memory Boolean computations in CMOS static random access memories,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 65, no. 12, pp. 4219– 4232, Dec 2018.
    10. N. Z. Haron and S. Hamdioui, “Why is CMOS scaling coming to an end?” in 2008 3rd International Design and Test Workshop. IEEE, 2008, pp. 98–103.
    11. D. B. Strukov, G. S. Snider, D. R. Stewart, and R. S. Williams, “The missing memristor found,” nature, vol. 453, no. 7191, p. 80, 2008.
    12. H. A. Du Nguyen, J. Yu, L. Xie, M. Taouil, S. Hamdioui, and D. Fey, “Memristive devices for computing: Beyond CMOS and beyond von neumann,” in 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC). IEEE, 2017, pp. 1–10.
    13. L. Chua, “Memristor-the missing circuit element,” IEEE Transactions on circuit theory, vol. 18, no. 5, pp. 507–519, 1971.
    14. M.-Y. Hsu, C.-F. Liao, Y.-H. Shih, C. J. Lin, and Y.-C. King, “A RRAM integrated 4T SRAM with self-inhibit resistive switching load by pure CMOS logic process,” Nanoscale research letters, vol. 12, no. 1, pp. 1–9, 2017.
    15. P.-F. Chiu, M.-F. Chang, C.-W. Wu, C.-H. Chuang, S.-S. Sheu, Y.-S. Chen, and M.-J. Tsai, “Low store energy, low vddmin, 8t2r nonvolatile latch and SRAM with vertical-stacked resistive memory (memristor) devices for low power mobile applications,” IEEE Journal of Solid-State Circuits, vol. 47, no. 6, pp. 1483–1496, 2012.
    16. S. Kvatinsky, E. G. Friedman, A. Kolodny, and U. C. Weiser, “Team: Threshold adaptive memristor model,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 60, no. 1, pp. 211–221, 2012.
    17. E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, “Read stability and write-ability analysis of SRAM cells for nanometer technologies,” IEEE Journal of Solid-State Circuits, vol. 41, no. 11, pp. 2577–2588, Nov 2006.
    18. J. Wang, S. Nalam, and B. H. Calhoun, “Analyzing static and dynamic write margin for nanometer SRAMs,” in Proceeding of the 13th international symposium on Low power electronics and design (ISLPED ’08), Aug 2008, pp. 129–134.
    19. J. Singh and B. Raj, “Comparative analysis of memristor models and memories design,” Journal of Semiconductors, vol. 39, no. 7, p. 074006, 2018.
    20. A. K. Rajput and M. Pattanaik, “Energy efficient 9T SRAM with r/w margin enhanced for beyond von-neumann computation,” in 2020 24th International Symposium on VLSI Design and Test (VDAT). IEEE, 2020, pp. 1–4.
    21. A. K. Rajput and M. Pattanaik, "Implementation of Boolean and Arithmetic Functions with 8T SRAM Cell for In-Memory Computation,"2020 International Conference for Emerging Technology (INCET), 2020, pp. 1-5,
    22.  J. Wang, X. Wang, C. Eckert, A. Subramaniyan, R. Das, D. Blaauw, and D. Sylvester, “A 28-nm compute SRAM with bit-serial logic/arithmetic operations for programmable in-memory vector computing,” IEEE Journal of Solid-State Circuits, vol. 55, no. 1, pp. 76–86, 2019.

Recent Article